Modeling Power Consumption and Temperature in TLM Models

Authors Matthieu Moy , Claude Helmstetter , Tayeb Bouhadiba , Florence Maraninchi



PDF
Thumbnail PDF

File

LITES-v003-i001-a003.pdf
  • Filesize: 1.13 MB
  • 29 pages

Document Identifiers

Author Details

Matthieu Moy
  • Univ. Grenoble Alpes, VERIMAG, F-38000 Grenoble, France
  • CNRS, VERIMAG, F-38000 Grenoble, France
Claude Helmstetter
  • Univ. Grenoble Alpes, VERIMAG, F-38000 Grenoble, France
  • CNRS, VERIMAG, F-38000 Grenoble, France
Tayeb Bouhadiba
  • Univ. Grenoble Alpes, VERIMAG, F-38000 Grenoble, France
  • CNRS, VERIMAG, F-38000 Grenoble, France
Florence Maraninchi
  • Univ. Grenoble Alpes, VERIMAG, F-38000 Grenoble, France
  • CNRS, VERIMAG, F-38000 Grenoble, France

Cite AsGet BibTex

Matthieu Moy, Claude Helmstetter, Tayeb Bouhadiba, and Florence Maraninchi. Modeling Power Consumption and Temperature in TLM Models. In LITES, Volume 3, Issue 1 (2016). Leibniz Transactions on Embedded Systems, Volume 3, Issue 1, pp. 03:1-03:29, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2016)
https://doi.org/10.4230/LITES-v003-i001-a003

Abstract

Many techniques and tools exist to estimate the power consumption and the temperature map of a chip. These tools help the hardware designers develop power efficient chips in the presence of temperature constraints. For this task, the application can be ignored or at least abstracted by some high level scenarios; at this stage, the actual embedded software is generally not available yet.However, after the hardware is defined, the embedded software can still have a significant influence on the power consumption; i.e., two implementations of the same application can consume more or less power. Moreover, the actual software power manager ensuring the temperature constraints, usually by acting dynamically on the voltage and frequency, must itself be validated. Validating such power management policy requires a model of both actuators and sensors, hence a closed-loop simulation of the functional model with a non-functional one.In this paper, we present and compare several tools to simulate the power and thermal behavior of a chip together with its functionality. We explore several levels of abstraction and study the impact on the precision of the analysis.

Subject Classification

ACM Subject Classification
  • Hardware → Chip-level power issues
Keywords
  • Power consumption
  • Temperature control
  • Virtual prototype
  • SystemC
  • Transactional modeling

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. Accellera Systems Initiative. IEEE 1666 Standard: SystemC Language Reference Manual, 2011. URL: http://www.accellera.org/.
  2. Mazhar Alidina, José C. Monteiro, Srinivas Devadas, Abhijit Ghosh, and Marios C. Papaefthymiou. Precomputation-based sequential logic optimization for low power. IEEE Trans. VLSI Syst., 2(4):426-436, 1994. URL: http://dx.doi.org/10.1109/92.335011.
  3. Andrea Bartolini, Matteo Cacciari, Andrea Tilli, and Luca Benini. Thermal and energy management of high-performance multicores: Distributed and self-calibrating model-predictive controller. IEEE Trans. Parallel Distrib. Syst., 24(1):170-183, 2013. URL: http://dx.doi.org/10.1109/TPDS.2012.117.
  4. Gerd Behrmann, Ansgar Fehnker, Thomas Hune, Kim Guldstrand Larsen, Paul Pettersson, Judi Romijn, and Frits W. Vaandrager. Minimum-cost reachability for priced timed automata. In Maria Domenica Di Benedetto and Alberto L. Sangiovanni-Vincentelli, editors, Hybrid Systems: Computation and Control, 4th International Workshop, HSCC 2001, Rome, Italy, March 28-30, 2001, Proceedings, volume 2034 of Lecture Notes in Computer Science, pages 147-161. Springer, 2001. URL: http://dx.doi.org/10.1007/3-540-45351-2_15.
  5. Luca Benini, Robin Hodgson, and Polly Siegel. System-level power estimation and optimization. In Anantha Chandrakasan and Sayfe Kiaei, editors, Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998, pages 173-178. ACM, 1998. URL: http://dx.doi.org/10.1145/280756.280881.
  6. Luca Benini and Giovanni De Micheli. Automatic synthesis of low-power gated-clock finite-state machines. IEEE Trans. on CAD of Integrated Circuits and Systems, 15(6):630-643, 1996. URL: http://dx.doi.org/10.1109/43.503933.
  7. Reinaldo A. Bergamaschi and Yunjian Jiang. State-based power analysis for systems-on-chip. In Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pages 638-641. ACM, 2003. URL: http://dx.doi.org/10.1145/775832.775992.
  8. Nathan L. Binkert, Bradford M. Beckmann, Gabriel Black, Steven K. Reinhardt, Ali G. Saidi, Arkaprava Basu, Joel Hestness, Derek Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. The gem5 simulator. SIGARCH Computer Architecture News, 39(2):1-7, 2011. URL: http://dx.doi.org/10.1145/2024716.2024718.
  9. Tayeb Bouhadiba, Matthieu Moy, and Florence Maraninchi. System-level modeling of energy in TLM for early validation of power and thermal management. In Enrico Macii, editor, Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013, pages 1609-1614. EDA Consortium San Jose, CA, USA / ACM DL, 2013. URL: http://dx.doi.org/10.7873/DATE.2013.327.
  10. Tayeb Bouhadiba, Matthieu Moy, Florence Maraninchi, Jérôme Cornet, Laurent Maillet-Contoz, and Ilija Materic. Co-simulation of functional systemc TLM models with power/thermal solvers. In 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24, 2013, pages 2176-2181. IEEE, 2013. URL: http://dx.doi.org/10.1109/IPDPSW.2013.206.
  11. Dennis D Buss. Technology in the internet age. In Solid-State Circuits Conference, 2002. Digest of Technical Papers. ISSCC. 2002 IEEE International, volume 1, pages 18-21. IEEE, 2002. Google Scholar
  12. M. Caldari, M. Conti, P. Crippa, G. Nuzzo, S. Orcioni, and C. Turchetti. Instruction based power consumption estimation methodology. In Electronics, Circuits and Systems, 2002. 9th International Conference on, volume 2, pages 721 - 724 vol.2, 2002. URL: http://dx.doi.org/10.1109/ICECS.2002.1046270.
  13. Marco Caldari, Massimo Conti, Massimo Coppola, Paolo Crippa, Simone Orcioni, Lorenzo Pieralisi, and Claudio Turchetti. System-level power analysis methodology applied to the AMBA AHB bus. In 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pages 20032-20039. IEEE Computer Society, 2003. URL: http://dx.doi.org/10.1109/DATE.2003.10234.
  14. Chang-Chih Chen and Linda Milor. System-level modeling and microprocessor reliability analysis for backend wearout mechanisms. In Enrico Macii, editor, Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013, pages 1615-1620. EDA Consortium San Jose, CA, USA / ACM DL, 2013. URL: http://dx.doi.org/10.7873/DATE.2013.328.
  15. Jérôme Cornet. Separation of Functional and Non-Functional Aspects in Transactional Level Models of Systems-on-Chip. PhD thesis, Institut National Polytechnique de Grenoble, 2008. Google Scholar
  16. Jérôme Cornet, Laurent Maillet-Contoz, Ilija Materic, Sylvian Kaiser, Hela Boussetta, Tayeb Bouhadiba, Matthieu Moy, and Florence Maraninchi. Co-Simulation of a SystemC TLM Virtual Platform with a Power Simulator at the Architectural Level: Case of a Set-Top Box. In Design Automation Conference, page SESSION 10U: USER TRACK, San Francisco, États-Unis, Jun 2012. Google Scholar
  17. Alessandro Danese, Graziano Pravadelli, and Ivan Zandona. Automatic generation of power state machines through dynamic mining of temporal assertions. In Luca Fanucci and Jürgen Teich, editors, 2016 Design, Automation & Test in Europe Conference & Exhibition, DATE 2016, Dresden, Germany, March 14-18, 2016, pages 606-611. IEEE, 2016. URL: http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=7459383.
  18. Anup Das, Akash Kumar, and Bharadwaj Veeravalli. Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems. IEEE Trans. Parallel Distrib. Syst., 27(3):869-884, 2016. URL: http://dx.doi.org/10.1109/TPDS.2015.2412137.
  19. Dipankar Das, P. P. Chakrabarti, and Rajeev Kumar. Thermal analysis of multiprocessor soc applications by simulation and verification. ACM Trans. Design Autom. Electr. Syst., 15(2), 2010. URL: http://dx.doi.org/10.1145/1698759.1698765.
  20. Nagu R. Dhanwada, Ing-Chao Lin, and Vijaykrishnan Narayanan. A power estimation methodology for systemc transaction level models. In Petru Eles, Axel Jantsch, and Reinaldo A. Bergamaschi, editors, Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pages 142-147. ACM, 2005. URL: http://dx.doi.org/10.1145/1084834.1084874.
  21. Mohammad Javad Dousti and Massoud Pedram. Power-efficient control of thermoelectric coolers considering distributed hot spots. In Wolfgang Nebel and David Atienza, editors, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015, pages 966-971. ACM, 2015. URL: http://dl.acm.org/citation.cfm?id=2757037.
  22. Bernhard Fischer, Christian Cech, and Hannes Muhr. Power modeling and analysis in early design phases. In Gerhard Fettweis and Wolfgang Nebel, editors, Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pages 1-6. European Design and Automation Association, 2014. URL: http://dx.doi.org/10.7873/DATE.2014.210.
  23. David Greaves and Mehboob Yasin. Tlm power3: Power estimation methodology for systemc tlm 2.0. In Models, Methods, and Tools for Complex Chip Design, pages 53-68. Springer, 2014. Google Scholar
  24. Kim Grüttner, Philipp A. Hartmann, Tiemo Fandrey, Kai Hylla, Daniel Lorenz, Stefan Stattelmann, Björn Sander, Oliver Bringmann, Wolfgang Nebel, and Wolfgang Rosenstiel. An ESL timing & power estimation and simulation framework for heterogeneous socs. In XIVth International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2014, Agios Konstantinos, Samos, Greece, July 14-17, 2014, pages 181-190. IEEE, 2014. URL: http://dx.doi.org/10.1109/SAMOS.2014.6893210.
  25. Claude Helmstetter, Tayeb Bouhadiba, Matthieu Moy, and Florence Maraninchi. Fast and modular transaction-level-modeling and simulation of power and temperature. Technical report, Verimag Research Report, 2014. URL: http://www-verimag.imag.fr/~moy/?LIBTLMPWT-Model-Power-Consumption.
  26. Claude Helmstetter, Vania Joloboff, and Hui Xiao. Simsoc: A full system simulation software for embedded systems. In Open-source Software for Scientific Computation (OSSC), 2009 IEEE International Workshop on, pages 49-55, Sept 2009. URL: http://dx.doi.org/10.1109/OSSC.2009.5416870.
  27. Claude Helmstetter and Matthieu Moy. LIBTLMPWT: Model power-consumption and temperature in systemc/tlm. Distributed under the terms of the GNU General Public License version 2, 2013. URL: http://www-verimag.imag.fr/~moy/?LIBTLMPWT-Model-Power-Consumption.
  28. Wei Huang, Shougata Ghosh, Sivakumar Velusamy, Karthik Sankaranarayanan, Kevin Skadron, and Mircea R. Stan. Hotspot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. VLSI Syst., 14(5):501-513, 2006. URL: http://dx.doi.org/10.1109/TVLSI.2006.876103.
  29. IEEE. Ieee 1801-2009 - unified power format (upf), 2009. URL: http://standards.ieee.org/develop/project/1801.html.
  30. Intel. Intel? 64 and IA-32 Architectures Software Developer’s Manual. Volume 3B: System Programming Guide, Part 2, order number: 253669-057us edition, December 2015. Google Scholar
  31. Sylvian Kaiser, Ilija Materic, and Rabih Saade. ESL solutions for low power design. In Louis Scheffer, Joel R. Phillips, and Alan J. Hu, editors, 2010 International Conference on Computer-Aided Design, ICCAD 2010, San Jose, CA, USA, November 7-11, 2010, pages 340-343. IEEE, 2010. URL: http://dx.doi.org/10.1109/ICCAD.2010.5653615.
  32. Pratyush Kumar and Lothar Thiele. System-level power and timing variability characterization to compute thermal guarantees. In Robert P. Dick and Jan Madsen, editors, Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2011, part of ESWeek '11 Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011, pages 179-188. ACM, 2011. URL: http://dx.doi.org/10.1145/2039370.2039400.
  33. Sumeet S. Kumar, Amir Zjajo, and René van Leuken. Ctherm: An integrated framework for thermal-functional co-simulation of systems-on-chip. In Masoud Daneshtalab, Marco Aldinucci, Ville Leppänen, Johan Lilius, and Mats Brorsson, editors, 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2015, Turku, Finland, March 4-6, 2015, pages 674-681. IEEE Computer Society, 2015. URL: http://dx.doi.org/10.1109/PDP.2015.56.
  34. Hugo Lebreton and Pascal Vivet. Power modeling in systemc at transaction level, application to a DVFS architecture. In IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2008, 7-9 April 2008, Montpellier, France, pages 463-466. IEEE Computer Society, 2008. URL: http://dx.doi.org/10.1109/ISVLSI.2008.71.
  35. Ons Mbarek, Alain Pegatoquet, and Michel Auguin. A methodology for power-aware transaction-level models of systems-on-chip using UPF standard concepts. In José L. Ayala, Braulio García-Cámara, Manuel Prieto, Martino Ruggiero, and Gilles Sicard, editors, Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation - 21st International Workshop, PATMOS 2011, Madrid, Spain, September 26-29, 2011. Proceedings, volume 6951 of Lecture Notes in Computer Science, pages 226-236. Springer, 2011. URL: http://dx.doi.org/10.1007/978-3-642-24154-3_23.
  36. Ons Mbarek, Alain Pegatoquet, and Michel Auguin. A methodology for power-aware transaction-level models of systems-on-chip using UPF standard concepts. In José L. Ayala, Braulio García-Cámara, Manuel Prieto, Martino Ruggiero, and Gilles Sicard, editors, Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation - 21st International Workshop, PATMOS 2011, Madrid, Spain, September 26-29, 2011. Proceedings, volume 6951 of Lecture Notes in Computer Science, pages 226-236. Springer, 2011. URL: http://dx.doi.org/10.1007/978-3-642-24154-3_23.
  37. Diego Melpignano, Luca Benini, Eric Flamand, Bruno Jego, Thierry Lepley, Germain Haugou, Fabien Clermidy, and Denis Dutoit. Platform 2012, a many-core computing accelerator for embedded socs: performance evaluation of visual analytics applications. In Patrick Groeneveld, Donatella Sciuto, and Soha Hassoun, editors, The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012, pages 1137-1142. ACM, 2012. URL: http://dx.doi.org/10.1145/2228360.2228568.
  38. Pierre Michaud and Yiannakis Sazeides. ATMI: analytical model of temperature in microprocessors. Third Annual Workshop on Modeling, Benchmarking and Simulation (MoBS), 2007. Google Scholar
  39. Farid N. Najm. Towards a high-level power estimation capability. In Massoud Pedram, Robert W. Brodersen, and Kurt Keutzer, editors, Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995, pages 87-92. ACM, 1995. URL: http://dx.doi.org/10.1145/224081.224097.
  40. Abhinav Pathak, Y. Charlie Hu, and Ming Zhang. Where is the energy spent inside my app?: fine grained energy accounting on smartphones with eprof. In Pascal Felber, Frank Bellosa, and Herbert Bos, editors, European Conference on Computer Systems, Proceedings of the Seventh EuroSys Conference 2012, EuroSys '12, Bern, Switzerland, April 10-13, 2012, pages 29-42. ACM, 2012. URL: http://dx.doi.org/10.1145/2168836.2168841.
  41. Pascal Raymond, Xavier Nicollin, Nicolas Halbwachs, and Daniel Weber. Automatic testing of reactive systems. In Proceedings of the 19th IEEE Real-Time Systems Symposium, Madrid, Spain, December 2-4, 1998, pages 200-209. IEEE Computer Society, 1998. URL: http://dx.doi.org/10.1109/REAL.1998.739746.
  42. Björn Sander, Jürgen Schnerr, and Oliver Bringmann. ESL power analysis of embedded processors for temperature and reliability estimations. In Wolfgang Rosenstiel and Kazutoshi Wakabayashi, editors, Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2009, Grenoble, France, October 11-16, 2009, pages 239-248. ACM, 2009. URL: http://dx.doi.org/10.1145/1629435.1629469.
  43. Tanguy Sassolas, Chiara Sandionigi, Alexandre Guerre, Alexandre Aminot, Pascal Vivet, Hela Boussetta, Luca Ferro, and Nicolas Peltier. Early design stage thermal evaluation and mitigation: The locomotiv architectural case. In Gerhard Fettweis and Wolfgang Nebel, editors, Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pages 1-2. European Design and Automation Association, 2014. URL: http://dx.doi.org/10.7873/DATE.2014.327.
  44. Jürgen Schnerr, Oliver Bringmann, Alexander Viehl, and Wolfgang Rosenstiel. High-performance timing simulation of embedded software. In Limor Fix, editor, Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pages 290-295. ACM, 2008. URL: http://dx.doi.org/10.1145/1391469.1391543.
  45. Si2. Common power format specification (CPF) 2.1, 2014. Google Scholar
  46. M. Slee, A. Agarwal, and M. Kwiatkowski. Thrift: Scalable cross-language services implementation. Facebook White Paper, 2007. Google Scholar
  47. An open platform for virtual prototyping of multi-processors system-on-chip. URL: http://www.soclib.fr/.
  48. Arvind Sridhar, Alessandro Vincenzi, Martino Ruggiero, Thomas Brunschwiler, and David Atienza. 3d-ice: Fast compact transient thermal modeling for 3d ics with inter-tier liquid cooling. In Louis Scheffer, Joel R. Phillips, and Alan J. Hu, editors, 2010 International Conference on Computer-Aided Design, ICCAD 2010, San Jose, CA, USA, November 7-11, 2010, pages 463-470. IEEE, 2010. URL: http://dx.doi.org/10.1109/ICCAD.2010.5653749.
  49. Synopsys. Primetime PX, 2015. URL: https://www.synopsys.com/apps/support/training/primetimepx_fcd.html.
  50. Federico Terraneo, Davide Zoni, and William Fornaciari. An accurate simulation framework for thermal explorations and optimizations. In Gianluca Palermo, Daniel Gracia Pérez, Morteza Biglari-Abhari, Daniel Chillet, Smaïl Niar, and Adam Morawiec, editors, Proceedings of the 2015 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, RAPIDO@HiPEAC 2015, 21 January, 2015, Amsterdam, The Netherlands, pages 5:1-5:6. ACM, 2015. URL: http://dx.doi.org/10.1145/2693433.2693438.
  51. Vivek Tiwari, Sharad Malik, and Andrew Wolfe. Power analysis of embedded software: a first step towards software power minimization. IEEE Trans. VLSI Syst., 2(4):437-445, 1994. URL: http://dx.doi.org/10.1109/92.335012.
  52. Ankush Varma, Eric Debes, Igor Kozintsev, Paul Klein, and Bruce L. Jacob. Accurate and fast system-level power modeling: An xscale-based case study. ACM Trans. Embedded Comput. Syst., 7(3), 2008. URL: http://dx.doi.org/10.1145/1347375.1347378.
  53. Emmanuel Viaud, François Pêcheux, and Alain Greiner. An efficient TLM/T modeling and simulation environment based on conservative parallel discrete event principles. In Georges G. E. Gielen, editor, Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pages 94-99. European Design and Automation Association, Leuven, Belgium, 2006. URL: http://dx.doi.org/10.1109/DATE.2006.244003.
  54. Josef Weidendorfer. Sequential performance analysis with callgrind and kcachegrind. In Michael M. Resch, Rainer Keller, Valentin Himmler, Bettina Krammer, and Alexander Schulz, editors, Tools for High Performance Computing - Proceedings of the 2nd International Workshop on Parallel Tools for High Performance Computing, July 2008, HLRS, Stuttgart, pages 93-113. Springer, 2008. URL: http://dx.doi.org/10.1007/978-3-540-68564-7_7.
  55. MY Yasin, C Koch-Hofer, Pascal Vivet, and DJ Greaves. Tlm power 3.0 (cbg) user manual version: Cbg 3.2 alpha draft manual-updated 1q2015-rev f, 2015. Google Scholar
  56. Francesco Zanini, David Atienza, Luca Benini, and Giovanni De Micheli. Multicore thermal management with model predictive control. In European Conference on Circuit Theory and Design (ECCTD 2009), volume 1, pages 90 - 95. IEEE Press, 2009. URL: http://dx.doi.org/10.1109/ECCTD.2009.5275073.
Questions / Remarks / Feedback
X

Feedback for Dagstuhl Publishing


Thanks for your feedback!

Feedback submitted

Could not send message

Please try again later or send an E-mail